Προς το περιεχόμενο

Με ποια γλωσσα προγραμματισμου αξιζει να ασχοληθει καποιος;


lazaross

Προτεινόμενες αναρτήσεις

εγω απλα θελω να δω πως ειναι να ασχολειται καποιος με τον προγραμματισμο. 17 χρονων ειμαι και ουτε ενδιαφερομαι να γινω στο μελλον προγραμματιστης, ουτε τιποτα. μπορει να μου χρησιμευσει βεβαια στην Αστυνομια, οπου και θελω να περασω.. εχω ακουσει οτι για να παρει τις βασικες γνωσεις και να εισαι μετριος θελει περιπου 10-15 μηνες? (!!) και πχ εχω το visual studio 6. το ειχα εγκαταστησει και μια φορα αλλα το απεγκατεστησα. δεν καταλαβαινα τιποτα.. δεν υπαρχουν καποια tutorials στα ελληνικα; επισης στο cd δεν υπαρχει και το msdn library, που μου ειπανε οτι ειναι απαραιτητο για να μαθω VS. αλλα ειναι λιγο μεγαλουτσικο για isdn..

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

  • Απαντ. 75
  • Δημ.
  • Τελ. απάντηση
οταν λες αντικειμενοστραφης γλωσσα τι εννοεις;

Kat'arxas einai antikeimenostrefhs glwssa kai einai glwsses opws i c++ kai i java pou gia arxh prepei na apofygeis. Eimai foithths pliroforikis kai tha sou proteina na xekiniseis me kati poly aplo opws i qbasic pou eipe kai o stormrider i' akomh kalytera thn pascal. Theorountai xepersamenes glwsses stis sygxrones apaithseis omws apoteloun tin vasi kai i katanoisi tous apotelei koini synistamenh kathe glwssas programmatismou. To 1o mathima gia thn eisagwgi mas ston programmatismo itan i pascal . Sthn trith lykeiou an epilexeis texnologikh katefthinsi tha exeis to mathima programmatismo, sto opoio programmatizeis se pseudoglwssa. Akomi kai afti apotelei yperaploustevmeni morfi katanoisis ths leitourgias tou programmatismou.

Xekina loipon me afta ta apla kai eimai sigouros pws i mageia tou programmatismou kai ths dimiourgias grigora tha se ethisoun kai tha se wthisoun sth dievrynsi twn orizontwn sou. Sthn prospatheia sou ena vivlio tha se voithouse poly , gi'afto sou proteinw to vivlio ou Vlaxaki "h glwssa programmatismou pascal".

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Na kanw kai mia erwtish? LISP kai PROLOG 3erei kaneis?Rwtaw giati emas sti sxoli mas kopsan thn assembly kai mas balan aftes tis dyo!

Φίλε μου αυτό είναι ότι χειρότερο. Να κόβουν την Assembly;;; Απαράδεκτο!!!! Αν δεν ξέρεις assembly δεν μπορείς σε καμία μα καμία περίπτωση να πεις ότι ξέρεις τέλεια C/C++.

 

Σε αυτό το εξάμηνο κάναμε και εμείς LISP στα πλαίσια του μαθήματος της τεχνητής νοημοσύνης. Μεγάλη βλακεία γιατί το μάθημα ήταν πολύ ενδιαφέρον αλλά το χάλασε η LISP. Ωραίοι αλγόριθμοι αλλά άντε να τους κάνεις στην LISP. Ξεφτίλα!!

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Ωραίοι αλγόριθμοι αλλά άντε να τους κάνεις στην LISP. Ξεφτίλα!!

 

Hehe...edw emeis eprepe na mathoume Haskell....an einai pote dinaton......

Gia na kleisoume, Lazaross pare ena vivliaraki, katse mathe na kaneis programmatakia kai eisai entaksei.....

10-15 mines? eksartatai ti thes na kaneis.......kai se ena mina mia xara mathaineis vasika pragmata.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Ούτε κουβέντα για dependencies. Ίσως να είναι λογικό αυτό, ωστόσο εμένα μου φαίνεται κάπως παράξενο. Το πρόβλημα είναι ότι τέτοια posts βλέπουμε συνεχώς και έχουν καλυφθεί με το παραπάνω. Τέσπα, απλά θα ρίξω μερικές ιδέες στο βουνό.

 

α) Δεν υπάρχει ιδανική γλώσσα για να ξεκινήσει κάποιος, όπως γράφουμε και ξαναγράφουμε στο forum. Είναι λογικό οι ποιο παλιοί να προτείνουν να ξεκινήσει ο αρχάριος από τη γλώσσα που ξεκίνησαν αυτοί αλλά μας αρέσει δεν μας αρέσει ο προγραμματισμός δεν εξελίσσεται όπως οι υπόλοιπες επιστήμες. Για να μάθεις VB.NET δεν χρειάζεται να έχεις ιδέα από VB 6.0 και για να μάθεις VB 6.0 δεν χρειάζεται να ξέρεις VB 5.0 κοκ. Αν ξέρεις VB 6.0 θα σε βοηθήσει να μάθεις .Net όπως αν θες να μάθεις ισπανικά θα σε βοηθήσει να ξέρεις αγγλικά ΑΛΛΑ, όπως είναι φυσικό, αν ο σκοπός σου είναι να μάθεις ισπανικά δεν θα πας σε σχολή αγγλικών. Αυτό ισχύει και με τις C, C#, C πλιτς πλατς, java, delphi κοκ. Άρα: χτυπάμε κατευθείαν αυτό που θέλουμε και ασχολούμαστε με τον τροχό μόνο όταν αυτό είναι ανάγκη. Μην ασχολήστε με asm, basic και c με σκοπό αργότερα να πάτε σε vbasic, c++ c#,java κλπ. Χτυπήστε κατευθείαν το στόχο και έτσι θα έχετε πιο γρήγορα άποψη και κρίση για το εάν πρέπει τελικά να τελικά να αλλάξετε στόχο.

 

β) Το ποια γλώσσα θα επιλέξεις σήμερα δεν συσχετίζεται καλά καλά καν με το τι θες να κάνεις γιατί με όλες τις γλώσσες μπορείς να κάνεις σχεδόν τα πάντα (web application σε c, Monte Carlo simulation σε php ή VB κλπ). Αυτό που έχει σημασία είναι σε πόσο χρόνο θες να τελειώσεις, αν θες οι γνώσεις που θα αποκτήσεις να μπορούν να εφαρμοστούν και σε άλλα αντικείμενα και τέλος το τι θες να κάνεις με αυτό που θα φτιάξεις αφού το φτιάξεις.

 

γ) Αυτό που έχει σημασία είναι .. ο δάσκαλος. Δηλαδή στην περίπτωση της αυτοεκμάθησης η βιβλιογραφία. Αν δεν έχετε σωστά βιβλία θα απογοητευτείτε. Απογοητεύομαι που βλέπω συνεχώς κόσμο να ρωτάει με ποιά γλώσσα να ξεκινήσει και σπάνια ρωτάει αργότερα πώς να το διαβάσει, ενώ αντίθετα βλέπω ότι οι περισσότεροι αρχάριοι έχουν πρόβλημα στον τρόπο διαβάσματος και όχι στο αντικείμενο. Μάθε VB, μάθε VC, μάθε VD, αν δεν ξεκινήσεις με τα σωστά βιβλία θα πνιγείς. So simple. (note: γκιούρδας rules, lol)

 

Τώρα, δεν θα επιχειρηματολογήσω για όλες τις γλώσσες. Από τη δική μου εμπειρία θα έλεγα το εξής:

 

1. Η καλύτερη επιλογή για να μάθεις προγραμματισμό (εφόσον έχεις σκοπό να εμβαθύνεις) είναι η Delphi γιατί εμπεριέχει όλες τις έννοιες που υπάρχουν στις υπόλοιπες ανταγωνιστικές γλώσσες επομένως ο αρχάριος μαθαίνει ό,τι χρειάζεται για να αλλάξει αντικείμενο αργότερα χωρίς να κάνει μεγάλες υποχωρήσεις:

 

+ είναι object oriented (άντε γειά VB)

+ είναι compiled (άντε γειά VB)

+ έχει έτοιμα units που είναι ποιοτικά πολύ καλά γραμμένα χωρίς να είναι γεμάτη bugs (άντε γεια VB)

+ αλλά δεν είναι γεμάτη dependencies (άντε γεια VB + MFC)

+ αλλά και δεν είναι τόσο δύσκολη (άντε γεια MFC και Borland C++)

+ και τέλος δεν έχει τόσο άθλιο garbage collector όσο η java (έλεος) εξαναγκάζοντάς παράλληλα να γράφεις αξιοπρεπή κώδικα.

 

η delphi εγγυάται ποιότητα στο λογισμικό.

 

 

2. Η καλύτερη επιλογή για να προγραμματίζεις windows χωρίς να μάθεις προγραμματισμό όπως τον ορίζω εγώ (πέστο δεν έχεις σκοπό να εμβαθύνεις) είναι -one way- η VB 6.0. Η πιο αναρχική γλώσσα ever.

 

+ Είναι MS. Τι να κάνουμε. Κολλάει παντού όπου υπάρχει η στάμπα του billy: VB 6.0, VB script, VB for apps.. Έχει απλά τεράστια πρακτική εφαρμογή γιατί πολύ γρήγορα μπορείς να γράψεις scripts/appz που κάνουν παπάδες. Θες για word, θες για excel, θες remote scripts για domain controllers; παντού κολλάει η vb.

+ Μαθαίνεται εύκολα και η αστειάρα είναι ότι εξοικοιώνεσαι πιο γρήγορα και με το API των windows από ότι στη C καθώς είναι το μόνο που χρειάζεται να προσπαθήσεις να διαβάσεις για να το καταλάβεις. Όλα τα άλλα γίνονται μόνα τους.

- αλλά... η γλώσσα είναι για τα πανηγύρια. Αν δοκιμάσεις να γράψεις τίποτα σοβαρό τότε όλο πέφτεις σε bugs με τελικό αποτέλεσμα ο προγραμματισμός να ανάγεται σε αγώνα rally, με εσένα οδηγό, στον οποίο πρέπει κάθε τόσο να αποφεύγεις εμπόδια για να φτάσεις στο τέρμα.

- dependencies, dependencies, dependencies. εντελώς bloatware .

- performance ... τι; ε;

 

τέλος:

 

- games & simulations & 3d; - one way -> c++ ή και με torque ή άλλη game engine

- web - one way -> php + flash

- tutorware; flash

- algorithms; C++

 

Αυτά. Ειλικρινά δεν έχω βρει που κολλάει η java. Μέχρι στιγμής έχω διαμορφώσει την άποψη ότι η java κολλάει μόνο σε web simulations και web games. Αν δεν υπάρχει η λέξη web στο project τότε δεν υπάρχει για εμένα η λέξη java. Τώρα, αν υπάρχει η λέξη web τότε και πάλι παίζεται το εάν υπάρχει η ανάγκη της java καθώς php, asp, flash καλύπτουν τις περισσότερες φορές τις ανάγκες του οποιουδήποτε web app.

 

άντε για μπάνιο τώρα..

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

πολυ ενδιαφεροντα Posts. αν θυμαμαι καλα υπηρχε μια free εκδοση της Delphi σε ενα περιοδικο. κανει εκεινη; και ποιο βιβλιο ή e-book πρεπει να διαβασω;

 

παντως δεν πιστευω να υπαρχουν και πολλα e-books για την εν'λογω γλωσσα.. μηπως εκτος απο το βασικο προγραμμα χρειαζεται και τιποτα extra, οπως η VS χρειαζεται την MSDN Library; και αν μαθω Delphi, μετα θα μαθαινεται ευκολα και η γλωσσα που θα κανω στην τριτη λυκειου ή καμμια σχεση το ενα με το αλλο;

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

GothicCrusader πόσο σωστός είναι στο θέμα τι να διαβάσω...θέλησα να μάθω java πήρα το λάθος βιβλίο και κάπου στο κεφάλαιο 3-4 τα παράτησα! ήταν η πρώτα μου επαφή με object oriented και έμεινα με τη χαρά...

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

- games & simulations & 3d; - one way -> c++ ή και με torque ή άλλη game engine

 

- games & simulations & 3d; - one way -> c++ with opengl and/or directx (mpliax)' date=' pixel and vertexshaders.....

an thes na matheis swsta....code it yourself.....meta pas se engine :P

 

ti mpanio akoma pitixiaki kanw :(

 

[b']xalia[/b] gia afto koita agglika vivlia kai reviews( parolo pou einai ipokeimenikes apopseis) kai an se diskolevei sta agglika koita an iparxei kai sta ellinika. To swsto vivlio einai oti simantikotero. Kai isws to swsto vivlio gia sena na einai oti xeirotero gia kapoion allon. Kai epipleon mono me vivlio mathaineis. Oute me tutorial, oute me google. Vivlio kai pali vivlio.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

To swsto vivlio einai oti simantikotero. Kai isws to swsto vivlio gia sena na einai oti xeirotero gia kapoion allon. Kai epipleon mono me vivlio mathaineis. Oute me tutorial, oute me google. Vivlio kai pali vivlio.

 

gold quote:O

 

Αυτό πρέπει να μπει σε popup window με μεγάλα γράμματα, να πετάγεται μόλις πάνε να γράψουν στο forum. Γλώσσα μόνο με tutorials και googling δεν μαθαίνεται. Αυτά είναι για να συμπληρώνουν όχι για να βάζουν τα θεμέλια της γνώσης. Μεταξύ του hello world και του ολοκληρωμένου προγράμματος υπάρχουν πολλές σελίδες τόσο ανάγνωσης όσο και πληκτρολόγησης.

 

Τώρα, Λάζαρε... Σίγουρα αυτό που θέλω να καταλάβει κάποιος από το post μου δεν είναι το «η delphi είναι η καλύτερη, πήγαινε να μάθεις delphi». Δεν είναι τόσο απλά τα πράγματα. Για αυτό και δεν βιάζομαι να προτείνω βιβλία. Αυτό συνήθως έχει αποτέλεσμα να πηγαίνει ο αρχάριος να τα αγοράσει, νομίζοντας ότι τα βιβλία αρκούν, να φρικάρει και να τα βάζει στο πατάρι. Η απορία σου και μόνο το μαρτυρά. Προσπαθώ να μεταδώσω μία συνολική εικόνα η οποία αναγκαστικά είναι φιλτραρισμένη από τη δική μου εμπειρία αλλά δεν θέλω την εικόνα αυτή να τη θεωρείς πανάκεια.

 

Σου θυμίζω ...

 

εγω απλα θελω να δω πως ειναι να ασχολειται καποιος με τον προγραμματισμο. 17 χρονων ειμαι και ουτε ενδιαφερομαι να γινω στο μελλον προγραμματιστης, ουτε τιποτα. μπορει να μου χρησιμευσει βεβαια στην Αστυνομια, οπου και θελω να περασω..

 

Βλέπεις ότι έχεις ήδη μία γενική αντίληψη ότι ο προγραμματισμός είναι «ένα πράγμα» και η εμπειρία του «να ασχολειται καποιος με τον προγραμματισμο» είναι επίσης ένα πράγμα. Αυτό δεν το λέω υποτιμητικά. Απλά είναι κάτι που πάντα ισχύει στους αρχάριους. Στην πραγματικότητα η εμπειρία του προγραμματισμού είναι εντελώς διαφορετική όταν γράψεις fortran, εντελώς διαφορετική όταν γράφεις .net και εντελώς διαφορετική όταν γράφεις C++ σε torque.

 

Όλοι οι άνθρωποι όταν ασχολούνται με ένα καινούργιο αντικείμενο, συναντώντας δυσκολίες, περνάνε κρίσεις αμφιβολίας του εάν πρέπει να το εγκαταλείψουν ή όχι. Οι περισσότεροι άνθρωποι θέλουν να βλέπουν γρήγορα αποτέλεσματα ώστε να ανανεώνονται. Για αυτό ακριβώς η VB έχει τόσο μεγάλη επιτυχία. Η γλώσσα μπάζει από παντού αλλά φέρνει γρήγορα αποτελέσματα. Θα δεις γρήγορα οι γραμμές κώδικα να δημιουργούν dialogs και να έχουν αποτέλεσμα. Θα δεις ο χρόνος σου να πιάνει τόπο. Το ερώτημα επομένως είναι το εξής: Είσαι άνθρωπος που θέλει να βλέπει γρήγορα αποτελέσματα; Μπορείς να διαβάσεις 400 σελίδες αλλά να ξέρεις ότι μέχρι τη σελίδα 399 το διάβασμά σου δεν θα έχει ορατό αποτέλεσμα;

 

Το θέμα είναι ότι όλες οι object oriented γλώσσες (VC, delphi, java, .net) έχουν μεγάλο «overhead». Με άλλα λόγια πρέπει να διαβάσεις πολύ για να αρχίσεις να μπορείς να οργανώνεις σωστά τον κώδικά σου γιατί πρέπει πρώτα να αναπτύξεις τον τρόπο σκέψης σου. Αντίθετα στις procedural γλώσσες τα αποτελέσματα έρχονται πολύ γρήγορα οπότε έχεις μεγαλύτερη πιθανότητα να φτάσεις στο τέλος. Έτσι τα βιβλία για object oriented γλώσσες δεν είναι τόσο φιλικά όσο τα βιβλία για procedural γλώσσες.

 

Έχεις μία βρύση με νερό που γεμίζει σταθερά ένα ποτήρι. Η εμπειρία του αρχάριου με procedural γλώσσες είναι να πίνει όποτε θέλει, φυσικά λίγο κάθε φορά. Η εμπειρία του αρχάριου με object oriented γλώσσες είναι ότι θα πιεί το πρώτο του ποτήρι μόνο αφού αυτό γεμίσει. Ή θα το πετάξει και θα πάει σε άλλη βρύση.

 

sleep on it..

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

GothicCrusader το προηγουμενο post σου γραφει ιστορια ειδικα για την επιλογη των βιβλιων,και για την VB.Mακραν η πιο αναρχη γλωσσα ever.

Oσο για την java ρε παιδια γιατι τετοιο φτυσιμο.Παρα πολλα project που χρειαζοταν αντικειμενοστρεφια γινονται πολυ ευκολοτερα απο την C++,Eπισης σε εισαγει στον πληρως αντικειμενοστρεφη προγραμματισμου (οχι σαν την C++).

Εγω παντως απο τα λεγομενα σου θα ελεγα να αρχισεις απο καμια Pascal για τις βασικες αρχες του προγραμματισμου και μετα διαλεγεις τι θες να κανεις.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

GothicCrusader[/b']Oσο για την java ρε παιδια γιατι τετοιο φτυσιμο.

 

Ektos twn vasikwn diaforwn me c++ pou einai apeires (iper kai kata) na sou pw proswpiki gnwmi. Giati nomiza oti iksera na programmatizw (eixa k to ptixio sto xeri oxi tipota allo) kai paw meta na kanw c++ kai efaga ta moutra mou.....

Kali i java, voithaei, platform independent einai, alla sta exei ola sto xeri. Ksexases initialization mixalaki? De peirazei tha sto kanw egw....mono pou thelw 70% apo ti cpu sou...Oute enexiro na evazes.

An den vgei kanas java co-processor :grin: egw tha protimaw na tin apofeugw....To kalo + tis java gia mena einai to poli kalo documentation. Oti ithela to evriska amesws.

 

γεμίζεις το ποτήρι όμως ;-)

 

xexe....nai mwre alla eleos kalokairiatika....ekei pou spazomai einai oti oxi mono theloun kwdika, alla kai ptixiaki isou megethous opws oloi oi alloi pou den kanoun kwdika.....Ante 20 meres meinane....

 

Twra re paidia gia to pou na arxisei....les kai tha paei na kanei to project pou tha parei 2 xronia. Gia ta vasika (loops, if statements, etc.) kai se pascal kai se java kai se C kai pantou ta kaneis....Edw kai se assembly kaneis loops efkola....Ksekina apo kapou kai otan psitheis an thes allazeis.....

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

:razz: LISP kai PROLOG 3erei kaneis? xexe. Rwtaw giati emas sti sxoli mas kopsan thn assembly kai mas balan aftes tis dyo!

:grin:

 

Exw asxolithei me prolog...einai mia klasiki glossa logikou programatismou...arketa

eykoli...alla kai psilo axristh..

apo LISP den gnvrizv tpt...einai poly palia synartisiakh glwssa..

..auta!!!

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

17 χρονων ειμαι και ουτε ενδιαφερομαι να γινω στο μελλον προγραμματιστης, ουτε τιποτα. μπορει να μου χρησιμευσει βεβαια στην Αστυνομια, οπου και θελω να περασω..

 

me tetoies blepseis de xreiazetai na matheis programmatismo oute tipota...

aplos stampare ti thesi ton pliktron 'Y', 'N' kai enter kai tha eisai poli ok ;)

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Αρχειοθετημένο

Αυτό το θέμα έχει αρχειοθετηθεί και είναι κλειστό για περαιτέρω απαντήσεις.


  • Δημιουργία νέου...