Προς το περιεχόμενο

Πότε σταματάω μια γλώσσα;


PiDefiner

Προτεινόμενες αναρτήσεις

Έχω ρωτήσει αρκετές φορές με ποια γλώσσα προγραμματισμού να ξεκινήσω και έχω πάρει διάφορες προτάσεις. Μετά από ένα μεγάλο διάλειμμα που έκανα στην Python θέλω να ξαναρχίσω, και μου δημιουργήθηκε η παραπάνω απορία. Δε λέω σε καμία περίπτωση πως γνωρίζω τόσο καλά την Python, ώστε να πάω στην επόμενη, απλώς δεν έχω στο μυαλό μου πότε θα μπορώ να πω "ξέρω αυτή τη γλώσσα". Γενικά δεν προγραμματίζω, και ο λόγος που μαθαίνω την python είναι για να έχω γενικές γνώσεις προγραμματισμού και για να μην είμαι τελείως άσχετος. Πότε, λοιπόν, θα μπορώ να πω πως έχω μάθει αρκετά γι' αυτήν, ώστε να σταματήσω ή να πάω στην επόμενη; Επειδή υπάρχουν πολλά βιβλία και άπειρες πηγές, είναι λίγο δύσκολο να το προσδιορίσω.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

  • Απαντ. 74
  • Δημ.
  • Τελ. απάντηση

Συχνή συμμετοχή στο θέμα

Συχνή συμμετοχή στο θέμα

Άποψη μου: Οι γλώσσες είναι εργαλεία και "δεν είναι ο σκοπός αλλά το μέσο". Είναι λοιπόν αντίστοιχο του να ρωτάς πότε σταματάς να χρησιμοποιείς ένα σφυρί. Όταν νιώθεις άνετα οτι μπορείς να "καρφώσεις" ένα καρφί... 

  • Like 4
Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Είναι ανάλογα τον άνθρωπο, άλλοι μπορεί απλά να θέλουν 2-3 λεπτά, άλλοι λίγο παραπάνω μέχρι να ευχαριστηθούν και άλλοι δεν το θέλουν καν.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Φανταζομαι οταν αντιμετωπισεις ενα προβλημα που δε μπορει να επιλυθει με αυτη τη γλωσσα

Και τι γίνεται αν δεν αντιμετωπίζεις προβλήματα; Το λέω με την έννοια ότι δεν κάθομαι ποτέ στο PC και λέω "Τώρα θα φτιάξω ένα πρόγραμμα που θα κάνει αυτό". Συνήθως ακολουθώ το βιβλίο και απομνημονεύω τις εντολές για να ξέρω πως και πότε να τις χρησιμοποιήσω.

 

Άποψη μου: Οι γλώσσες είναι εργαλεία και "δεν είναι ο σκοπός αλλά το μέσο". Είναι λοιπόν αντίστοιχο του να ρωτάς πότε σταματάς να χρησιμοποιείς ένα σφυρί. Όταν νιώθεις άνετα οτι μπορείς να "καρφώσεις" ένα καρφί... 

(σχετικά με αυτό που λες, και για να δικαιολογήσω τα προηγούμενα: ) Έχεις δίκιο, αλλά ο προγραμματισμός για μένα δεν είναι κάτι που χρησιμοποιώ σαν εργαλείο, απλά επειδή μου είναι άχρηστο. Και μου είναι άχρηστο γιατί δεν είμαι ούτε προγραμματιστής στο επάγγελμα, ούτε ασχολούμαι ερασιτεχνικά. Στο Λύκειο με τη ρομποτική μου χρειάστηκε, έμαθα να χρησιμοποιώ τα blocks του NXT και τέλος.

Θα μπορούσες να ρωτήσεις τότε γιατί ασχολούμαι με υπολογιστές. Αυτό που μου αρέσει στους υπολογιστές, είναι το πρακτικό κομμάτι, δηλαδή ότι εμπεριέχει hardware. Γι' αυτό δεν μου αρέσει τόσο ο προγραμματισμός. Ακριβώς, όμως, για να έχω γενικές γνώσεις υπολογιστών και όχι μεμονωμένες, θέλω να ξέρω έστω και μια γλώσσα προγραμματισμού.

Είναι ανάλογα τον άνθρωπο, άλλοι μπορεί απλά να θέλουν 2-3 λεπτά, άλλοι λίγο παραπάνω μέχρι να ευχαριστηθούν και άλλοι δεν το θέλουν καν.

 

Όπως εξηγώ και πιο πάνω, μαθαίνω προγραμματισμό επειδή "πρέπει". Όχι πως δεν το ευχαριστιέμαι καθόλου, απλώς δεν είναι και από τα πιο ευχάριστα πράγματα που μπορώ να κάνω με έναν υπολογιστή.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Άλλο εννοούσα αγαπητέ μου :D (πονηρές σκέψεις)

 

Όσο για το θέμα, καταρχάς πρέπει να δεις ποιες γλώσσες είναι σημαντικές (ζητάει η αγορά) και μετά περνάς στο 2ο στάδιο που είναι το θέμα σου.

 

  • Αν μιλάμε για σημαντικές γλώσσες τότε δεν μπορείς καθόλου εύκολα (ή καθόλου) να πεις "βαριέμαι, θα το αφήσω αυτό γιατί δεν μου αρέσει. Φυσικά και δεν μπορείς να τις σταματήσεις γιατί θα χρειαστεί να ανανεώσεις τις γνώσεις σου.

    Φυσικά φτάνεις σε ένα σημείο που πιστεύεις (το βλέπεις και από τις πράξεις σου γιατί το τι πιστεύει κανείς...) ότι ξέρεις αρκετά και δεν χρειάζεται να ασχοληθείς και πολύ, εκεί απλά χαλαρώνεις ΚΑΙ ΔΕΝ ΣΤΑΜΑΤΑΣ.

    Είναι χαζό να φας κόλλημα με 1 γλώσσα, δεν μετράει η ποσότητα (δηλαδή ασχολιέμαι συνέχεια για να κρατηθώ σε φόρμα).

 

  • Αν μιλάμε για "2δεύτερες" γλώσσες τότε απλά κοιτάς να μάθεις τα βασικά και σταματάς, άμα σου χρειαστεί ποτέ επανέρχεσαι.

 

Με απλά λόγια "Δεν σταματάς μια γλώσσα, απλά χαλαρώνεις"


ΥΓ: Αν το κάνεις για Hobby τότε θα πρέπει να είσαι τέρμα χαλαρά, get a life! και άσε κάτι εικόνες "αποβλακώνομαι με την ελπίδα ότι θα γίνω σουπερ προγραμματιστής άρα και χακερ στην συνέχεια" <-- αυτά είναι σκέψεις ενός μικρού που σιγά σιγά θα καταλάβει ότι...

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Και τι γίνεται αν δεν αντιμετωπίζεις προβλήματα; Το λέω με την έννοια ότι δεν κάθομαι ποτέ στο PC και λέω "Τώρα θα φτιάξω ένα πρόγραμμα που θα κάνει αυτό". Συνήθως ακολουθώ το βιβλίο και απομνημονεύω τις εντολές για να ξέρω πως και πότε να τις χρησιμοποιήσω.

 

Αυτό γιατί; Πρέπει να μάθεις μία συγκερκριμένη γλώσσα; Μάθε απλά την ιδέα. Πουθενά δε θα χρειαστεί κανείς μόνο Python. Οι γλώσσες προγραμματισμού εξυπηρετούν κάποιο σκοπό. Αν εσύ δεν έχεις αυτόν το σκοπό, τότε γιατί μαθαίνεις τα εργαλεία του; Πιάσε καλύτερα, αν σου αρέσει το hardware να μάθεις για την Αρχιτεκτονική των Η/Υ (είναι κάπου στο ενδιάμεσο hardware-software). Πιστεύω θα σου φανεί πιο χρήσιμη γνώση από μία στις ΠΑΡΑ ΠΟΛΛΕΣ γλώσσες προγραμματισμού, την οποία κάθε μέρα θα την μαθαίνεις και κάθε επόμενη θα την μισοξεχνάς. Απλά δεν έχει νόημα.

 

 

Εκτός κι αν εξαρτάται η ζωή σου από αυτό!

 

 

 

:P :P

 

  • Like 2
Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

απλώς δεν έχω στο μυαλό μου πότε θα μπορώ να πω "ξέρω αυτή τη γλώσσα"

 

Ανάλογα με το πως ορίζεις το "ξέρω". Άλλος το ορίζει ως "μπορώ να φτιάξω κρεμάλα", άλλος ως "δέκα χρόνια τώρα έχω γράψει πρόγραμμα για ό,τι μπορείς να φανταστείς" και άλλος ως "ζώ πουλώντας βιβλία πάνω στο αντικείμενο".

 

Η δική μου οπτική είναι πως είναι σαν το "πως ξέρω αν έφτασα σε οργασμό": αν φτάσεις θα το καταλάβεις. Αφού ρωτάς, δεν έφτασες (υπόψιν βέβαια πως παίζει και Dunning-Kruger effect, το να βλέπεις από άσχετους μέχρι ημιμαθείς να το παίζουν ντίβες δεν πρόκειται να αλλάξει τώρα σύντομα, φρόντισε με τον εαυτό σου να είσαι αντικειμενικός).

 

Για τις ανάγκες της συζήτησης, υπάρχει σημαντική πιθανότητα πως ποτέ δε θα "ξέρεις" Python (σκέτο). Το θέμα είναι το πότε θα ξέρεις αρκετά για να νιώσεις ότι γνωρίζεις πού σταματάει η γνώση σου και τι υπάρχει παραπέρα αλλά ταυτόχρονα είσαι ικανοποιημένος με το επίπεδό σου.

 

Νομίζω ότι η σωστή απάντηση στα ερωτήματα που έχεις θέσει θα έρθει αν δεις το θέμα όχι τεχνικά αλλά φιλοσοφικά.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Δε λέω σε καμία περίπτωση πως γνωρίζω τόσο καλά την Python, ώστε να πάω στην επόμενη, απλώς δεν έχω στο μυαλό μου πότε θα μπορώ να πω "ξέρω αυτή τη γλώσσα". Γενικά δεν προγραμματίζω, και ο λόγος που μαθαίνω την python είναι για να έχω γενικές γνώσεις προγραμματισμού και για να μην είμαι τελείως άσχετος.

 

100 χρονια να διαβαζα Python καθε μερα αν δεν καθομουν στο pc να φτιαξω προγραμμα, να δω στην πραξη αυτα που γραφουν τα βιβλια δεν θα ελεγα ΠΟΤΕ "ξέρω αυτή τη γλώσσα" οσο καλα και αν την ειχα μαθει στο θεωρητικο μερος.

  • Like 3
Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

You're dοing it wrοng.

Καλώς ή κακώς, έτσι έχουν τα πράγματα...

Άλλο εννοούσα αγαπητέ μου :D (πονηρές σκέψεις)

 

Όσο για το θέμα, καταρχάς πρέπει να δεις ποιες γλώσσες είναι σημαντικές (ζητάει η αγορά) και μετά περνάς στο 2ο στάδιο που είναι το θέμα σου.

 

  • Αν μιλάμε για σημαντικές γλώσσες τότε δεν μπορείς καθόλου εύκολα (ή καθόλου) να πεις "βαριέμαι, θα το αφήσω αυτό γιατί δεν μου αρέσει. Φυσικά και δεν μπορείς να τις σταματήσεις γιατί θα χρειαστεί να ανανεώσεις τις γνώσεις σου.

     

    Φυσικά φτάνεις σε ένα σημείο που πιστεύεις (το βλέπεις και από τις πράξεις σου γιατί το τι πιστεύει κανείς...) ότι ξέρεις αρκετά και δεν χρειάζεται να ασχοληθείς και πολύ, εκεί απλά χαλαρώνεις ΚΑΙ ΔΕΝ ΣΤΑΜΑΤΑΣ.

     

    Είναι χαζό να φας κόλλημα με 1 γλώσσα, δεν μετράει η ποσότητα (δηλαδή ασχολιέμαι συνέχεια για να κρατηθώ σε φόρμα).

 

  • Αν μιλάμε για "2δεύτερες" γλώσσες τότε απλά κοιτάς να μάθεις τα βασικά και σταματάς, άμα σου χρειαστεί ποτέ επανέρχεσαι.

 

Με απλά λόγια "Δεν σταματάς μια γλώσσα, απλά χαλαρώνεις"

ΥΓ: Αν το κάνεις για Hobby τότε θα πρέπει να είσαι τέρμα χαλαρά, get a life! και άσε κάτι εικόνες "αποβλακώνομαι με την ελπίδα ότι θα γίνω σουπερ προγραμματιστής άρα και χακερ στην συνέχεια" <-- αυτά είναι σκέψεις ενός μικρού που σιγά σιγά θα καταλάβει ότι...

Ακριβώς αυτό. Επειδή έχω αφήσει τις εικόνες αυτές που λες προ πολλού, δεν μου φαίνεται και ενδιαφέρων. Δε λέω πως δεν είναι, λέω πως δεν αρέσει σε εμένα.

 

 

Αυτό γιατί; Πρέπει να μάθεις μία συγκερκριμένη γλώσσα; Μάθε απλά την ιδέα. Πουθενά δε θα χρειαστεί κανείς μόνο Python. Οι γλώσσες προγραμματισμού εξυπηρετούν κάποιο σκοπό. Αν εσύ δεν έχεις αυτόν το σκοπό, τότε γιατί μαθαίνεις τα εργαλεία του; Πιάσε καλύτερα, αν σου αρέσει το hardware να μάθεις για την Αρχιτεκτονική των Η/Υ (είναι κάπου στο ενδιάμεσο hardware-software). Πιστεύω θα σου φανεί πιο χρήσιμη γνώση από μία στις ΠΑΡΑ ΠΟΛΛΕΣ γλώσσες προγραμματισμού, την οποία κάθε μέρα θα την μαθαίνεις και κάθε επόμενη θα την μισοξεχνάς. Απλά δεν έχει νόημα.

 

 

Εκτός κι αν εξαρτάται η ζωή σου από αυτό!

 

 

 

:P :P

 

 

Συμφωνώ. Αλλά επειδή σήμερα ο προγραμματισμός είναι κάτι τόσο εύκολο για να το μάθεις είναι από τα πράγματα που θέλω να ξέρω. Λίγο πολύ ξέρω το βασικά, αλλά χρειάζομαι πολλά περισσότερα για να μάθω να χειρίζομαι μια γλώσσα.

Ανάλογα με το πως ορίζεις το "ξέρω". Άλλος το ορίζει ως "μπορώ να φτιάξω κρεμάλα", άλλος ως "δέκα χρόνια τώρα έχω γράψει πρόγραμμα για ό,τι μπορείς να φανταστείς" και άλλος ως "ζώ πουλώντας βιβλία πάνω στο αντικείμενο".

 

Η δική μου οπτική είναι πως είναι σαν το "πως ξέρω αν έφτασα σε οργασμό": αν φτάσεις θα το καταλάβεις. Αφού ρωτάς, δεν έφτασες (υπόψιν βέβαια πως παίζει και Dunning-Kruger effect, το να βλέπεις από άσχετους μέχρι ημιμαθείς να το παίζουν ντίβες δεν πρόκειται να αλλάξει τώρα σύντομα, φρόντισε με τον εαυτό σου να είσαι αντικειμενικός).

 

Για τις ανάγκες της συζήτησης, υπάρχει σημαντική πιθανότητα πως ποτέ δε θα "ξέρεις" Python (σκέτο). Το θέμα είναι το πότε θα ξέρεις αρκετά για να νιώσεις ότι γνωρίζεις πού σταματάει η γνώση σου και τι υπάρχει παραπέρα αλλά ταυτόχρονα είσαι ικανοποιημένος με το επίπεδό σου.

 

Νομίζω ότι η σωστή απάντηση στα ερωτήματα που έχεις θέσει θα έρθει αν δεις το θέμα όχι τεχνικά αλλά φιλοσοφικά.

Έτσι όπως το θέτεις, ναι, είναι λογικό ποτέ να μη φτάσω στην απόλυτη γνώση του αντικειμένου, ιδίως εφ' όσον δεν είναι κάτι που μου αρέσει ιδιαίτερα. Αυτό που ρώτησα εξ' αρχής ήταν το πότε να μετακινηθώ στην επόμενη γλώσσα, αλλά αν λάβω υπόψη μου όσα μου είπατε, δεν είναι και τόσο μεγάλη ανάγκη να αλλάξω.

Η αλήθεια είναι ότι δεν ήξερα πως υπάρχει τόσο συγκεκριμένη ορολογία για αυτό το "effect", νομίζω όμως ότι λίγο πολύ όλοι μας πάσχουμε από αυτό :P Το μόνο σίγουρο είναι ότι δεν ισχύει κάτι τέτοιο με εμένα και τον προγραμματισμό. Αν είναι κάτι που δεν κατέχω καθόλου καλά αυτό είναι ο προγραμματισμός. Ο λόγος, όμως, που προσπαθώ να μάθω προγραμματισμό, είναι για τον κατέχω λίγο καλύτερα. Ή για να λέμε και την αλήθεια, να τον κατέχω περισσότερο από τον μέσο μη-τεχνολογημένο.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Λίγο πολύ ξέρω το βασικά, αλλά χρειάζομαι πολλά περισσότερα για να μάθω να χειρίζομαι μια γλώσσα.

 

 

Για να μάθεις να χειρίζεσαι μία γλώσσα θέλει εξάσκηση, μεράκι και αγάπη (?!?!).

Ξεκίνα να εξασκείσαι και ίσως αλλάξεις γνώμη. Πάντως αν είσαι hardware-ας

μάθε Verilog. Θα σου χρειαστεί. Είναι εντελώς διαφορετική η νοοτροπία βέβαια.

  • Like 1
Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Δε μαθαινω να χρησιμοποιω το σφυρι για να καρφωσω κατι, απλα με ενδιαφερουν τα καρφια, να δω πως καρφωνονται. Σας ρωταω ποτε ειναι η καταλληλη στιγμη να αφησω το σφυρι και να μαθω πινελο (και ναι, φυσικα, δε με ενδιαφερει να ζωγραφισω κατι ). Και μετα σειρα εχει το τηγανι.

 

Δεν ειμαι στριμενος, απλα δεν εχω πιει καφε ακομα και με ξενυχτυσαν τα μωρα (οχι τα διμετρα ε).

  • Like 2
Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Δε μαθαινω να χρησιμοποιω το σφυρι για να καρφωσω κατι, απλα με ενδιαφερουν τα καρφια, να δω πως καρφωνονται. Σας ρωταω ποτε ειναι η καταλληλη στιγμη να αφησω το σφυρι και να μαθω πινελο (και ναι, φυσικα, δε με ενδιαφερει να ζωγραφισω κατι ). Και μετα σειρα εχει το τηγανι.

 

Δεν ειμαι στριμενος, απλα δεν εχω πιει καφε ακομα και με ξενυχτυσαν τα μωρα (οχι τα διμετρα ε).

Κάπως έτσι είναι τα πράγματα. Αν τα πινέλα, τα σφυριά και τα τηγάνια άνηκαν σε μια ευρύτερη κατηγορία η οποία θα άνηκε με τη σειρά της σε μια άλλη κατηγορία, η οποία με ενδιέφερε, θα είχες πετύχει διάνα.

 

 

Για να μάθεις να χειρίζεσαι μία γλώσσα θέλει εξάσκηση, μεράκι και αγάπη (?!?!).

Ξεκίνα να εξασκείσαι και ίσως αλλάξεις γνώμη. Πάντως αν είσαι hardware-ας

μάθε Verilog. Θα σου χρειαστεί. Είναι εντελώς διαφορετική η νοοτροπία βέβαια.

Θα την κοιτάξω μέσα στο σαββατοκύριακο και θα σου πω.

 

Επειδή όμως ξεφύγαμε αρκετά, αν θεέλτε να συζητήσουμε γι' αυτό να αλλάξει τίτλο το θέμα για να μην είναι παραπλανητικός.

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Σταματάς να μαθαίνεις κάτι όταν οι γνώσεις που αποκτήσεις είναι αρκετές για να κάνεις αυτο που θες. Εσύ δε θες να κάνεις τίποτα. Η απάντηση στην αρχική ερώτηση απλή...

Συνδέστε για να σχολιάσετε
Κοινοποίηση σε άλλες σελίδες

Δημιουργήστε ένα λογαριασμό ή συνδεθείτε για να σχολιάσετε

Πρέπει να είστε μέλος για να αφήσετε σχόλιο

Δημιουργία λογαριασμού

Εγγραφείτε με νέο λογαριασμό στην κοινότητα μας. Είναι πανεύκολο!

Δημιουργία νέου λογαριασμού

Σύνδεση

Έχετε ήδη λογαριασμό; Συνδεθείτε εδώ.

Συνδεθείτε τώρα

  • Δημιουργία νέου...